Username

Password

Remember me
Password Reminder
No account yet? Create one
Main Menu
Accueil - Home
Articles
DX cluster
Photo Gallery
Forum
Liens - Links
Contactez-nous - Contact us


TF/VE2XAA
We have 959 guests online




Accueil - Home arrow Forum
Contest Group du Quebec  


Re:WAEDC RTTY 2014 - 2014/11/06 11:40 Et bien, le bug est que comme on RX ou TX des QTC ils sont automatiquement entrés dans le log sans attendre la confirmation finale TU. Si exemple la propagation change et qu'on a besoin de répéter il arrive parfois qu'on décide d'abandonner et de revenir à cette station plus tard. Là par contre on devra effacer manuellement dans le log les 10 qtc ...ouf pas trop pratique.

n1mm+ me semble plus stable en SO2R mais pour éviter des petits problèmes je dois utiliser 'first one wins' à la place de 'last one wins' comme est mon habitude. De cette façon le logiciel semble mieux réagir et ne coupe pas la TX de façon injustifiée comme il le faisait avant. L'hésitation dans les bandmaps lors de changements de freq. me semble aussi moins prononcée, le système semble avoir gagné un peu en vitesse mais encore pas encore aussi vite en réaction que le 'classique'.

73 Fabi
  | | The administrator has disabled public write access.

      Topics Author Date
    thread link
WAEDC RTTY 2014
VE2FK 2014/11/05 10:27
    thread link
thread linkthread link Re:WAEDC RTTY 2014
VA2UP 2014/11/05 21:11
    thread link
thread linkthread linkthread link Re:WAEDC RTTY 2014
ve2nmb 2014/11/06 08:32
    thread link
thread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2ebk 2014/11/06 09:54
    thread link
thread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/06 11:34
    thread link
thread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VA2UP 2014/11/06 11:40
    thread link
thread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/06 14:38
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2nmb 2014/11/06 15:28
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2ebk 2014/11/06 16:50
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/06 19:34
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
va2am 2014/11/06 21:16
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2nmb 2014/11/07 09:07
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/07 11:11
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/08 10:45
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/09 18:55
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FXL 2014/11/09 19:28
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2ebk 2014/11/09 20:13
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2nmb 2014/11/09 20:30
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
va2am 2014/11/09 21:49
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VA2UP 2014/11/09 22:48
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2014/11/10 08:16
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2nmb 2014/11/10 08:38
    thread link
thread linkthread link Re:WAEDC RTTY 2014
VE2AXO 2014/11/10 12:50
    thread link
thread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FXL 2014/11/10 17:20
    thread link
thread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VA2UP 2014/11/10 21:29
    thread link
thread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FXL 2014/11/11 14:46
    thread link
thread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
ve2ebk 2014/11/11 18:37
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VA2UP 2014/11/11 21:10
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
va2am 2015/02/08 09:06
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FXL 2015/02/08 10:52
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2015/02/08 13:25
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VA2UP 2015/02/09 21:14
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:WAEDC RTTY 2014
VE2FK 2015/02/10 09:45



Powered by Online-Montreal