Username

Password

Remember me
Password Reminder
No account yet? Create one
Main Menu
Accueil - Home
Articles
DX cluster
Photo Gallery
Forum
Liens - Links
Contactez-nous - Contact us


VE2XAA at UU7J
We have 928 guests online




Accueil - Home arrow Forum
Contest Group du Quebec  


Re:BARTG RTTY SPRINT - 2011/01/23 22:52
         BARTGRTTYS Score Summary Sheet

      Start Date : 2011-01-22

   CallSign Used : VE2FXL
     Operator(s) : VE2FXL

Operator Category : SINGLE-OP
            Band : ALL
           Power : HIGH
            Mode : RTTY
Overlay Category : TB-WIRES
Default Exchange : 001
      Gridsquare : FN46PC

            Name : Luc Fontaine
    ARRL Section : QC
       Club/Team : Contest group du Quebec
        Software : N1MM Logger V11.1.3

       Band    QSOs    Pts  Cty   Sec   Cnt
        3,5     114     114    2    1    0
          7      22      22    3    1    0
         14     149     149   25   13    4
      Total     285     285   30   15    4


           Score : 51 300


Total Time On 09:45  (585 mins)


J'ai commencé vers 7:15 samedi matin avec un 20m anémique avec en plus un mauvais réglage de mon équipement qui faisait que ma puissance prenait de 1 à 2 secondes à monter au maximum au lieu d'être instantanée. Ensuite, j'ai commencé à expérimenté le mode Next Call de N1MM dont je connaissais l'existence que depuis récemment mais je n'étais pas certain qu'il existait dans N1MM et encore moins comment l'activer. J'ai donc testé une partie de l'avant et de l'après-midi, j'ai manqué une bonne partie de l'ouverture du 20m vers l'Europe et quand j'ai recommencé en après-midi, j'ai continué sur 20m vers le Sud Ouest, y'avait plus de réponse mais pas très payant et ensuite le 40m était vraiment pas fameux. Une chance que le 80m était comme d'habitude excellent à cette période. BTW, j'ai réussi à faire fonctionner le Next Call et ça aide à ne pas perdre des stations dans un Run avec pileup.

Merci à Fabi, Claude, Louis et Alex. J'ai vu VE2DX mais je constate ne pas l'avoir dans mon log donc je n'ai pas dû recevoir son TU. Meilleure chance la prochaine fois, c'aurait été une première.

73
Luc
VE2FXL
  | | The administrator has disabled public write access.

      Topics Author Date
    thread link
BARTG RTTY SPRINT
ve2dx 2011/01/19 15:49
    thread link
thread linkthread link Re:BARTG RTTY SPRINT
VA2SG 2011/01/19 16:51
    thread link
thread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FXL 2011/01/19 20:23
    thread link
thread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2UP 2011/01/19 22:01
    thread link
thread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2UP 2011/01/19 22:01
    thread link
thread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FK 2011/01/20 09:12
    thread link
thread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
ve2dx 2011/01/20 15:54
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2SG 2011/01/21 11:21
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FK 2011/01/21 12:19
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
ve2dx 2011/01/21 16:32
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2SG 2011/01/21 16:49
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FK 2011/01/21 18:43
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FXL 2011/01/21 19:52
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2UP 2011/01/21 21:52
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FXL 2011/01/21 23:17
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2SG 2011/01/22 08:18
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
ve2dx 2011/01/22 12:42
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FK 2011/01/23 00:21
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
ve2dx 2011/01/23 07:22
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
ve2ezd 2011/01/23 11:00
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
va2wdq 2011/01/23 14:44
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FXL 2011/01/23 22:52
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VA2UP 2011/01/24 00:44
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FK 2011/01/24 08:41
    thread link
thread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread linkthread link Re:BARTG RTTY SPRINT
VE2FFE 2011/01/24 13:27
    thread link
thread linkthread link Re:BARTG RTTY SPRINT
VE2SB 2011/01/29 13:17



Powered by Online-Montreal